Tech

Samsung’s AI-Era Vision Coincides With its New Chip Tech

Samsung has disclosed that its AI-Era Vision will be powered by its new semiconductor technology and Samsung AI Solutions platform


Samsung, the South Korean technology behemoth, has recently disclosed its new AI vision and new technology for its foundry, which suggests that chips are essential to its AI future.

Dr. Siyoung Choi, President and Head of Foundry Business at Samsung Electronics, stated, “In an era in which a multitude of technologies are developing around AI, the key to its implementation is embedded in high-performance, low-power semiconductors.”

“Alongside our proven GAA process optimized for AI chips, we plan to introduce integrated, co-packaged optics (CPO) technology for high-speed, low-power data processing, providing our customers with the one-stop AI solutions they need to thrive in this transformative era.”

At its Device Solutions headquarters in California, Samsung unveiled two new advanced process nodes, SF2Z and SF4U, and its new integrated Samsung AI Solutions platform at this year’s Samsung Foundry Forum (SFF). This platform capitalizes on the strengths of Samsung’s Foundry, Memory, and Advanced Package (AVP) businesses.

Specifications of the Chip


Compared to the previous 2nm node, the most recent 2nm process node, SF2Z, employs backside power delivery technology to enhance power efficiency, performance, and transistor density.

This improvement is intended to facilitate the development of more energy-efficient processors and powerful high-performance computing applications. The production of SF2Z in large quantities is anticipated to commence in 2027.

The company also introduced SF4U, a 4nm process variant that utilizes optical reduction techniques to improve power, performance, and area scaling. The mass production of this advanced 4nm node is anticipated to result in more efficient and capable processors in 2025.

The Gate-All-Around (GAA) technology, entering its third year of mass production, is a key enabler for AI processors, and Samsung also underscored its maturity.

This transitioned seamlessly into the announcement of Samsung AI Solutions, a turnkey AI platform resulting from collaborative efforts among the company’s Foundry, Memory, and AVP divisions. The platform can be customized to meet the unique AI needs of individual customers.

Competitive Environment


To regain its competitive position in the semiconductor market, Samsung is significantly emphasizing producing AI chips.

The company announced earlier this year that it had secured US$6.4 billion in US Chips and Science Act funding and a strategy for utilizing AI technology to enhance the energy efficiency of connected devices and incorporate AI capabilities.

Samsung has since introduced various products incorporating AI capabilities, including smart monitors, TVs, and the Galaxy AI, which integrates AI capabilities into its handsets.

This results from the company’s ongoing struggles to maintain a dominant position in the market, particularly in the high-end memory for AI.

The market remains dominated by NVIDIA, a chip manufacturer, as its share prices have surged due to the increasing complexity of deep learning models and the proliferation of generative AI.

In response, Samsung has appointed a new chief of its semiconductor division, urging employees to unite to surmount various obstacles.

Consequently, the organization capitalizes on its extensive expertise in packaging, foundry, chip design, and memory chip production to provide customized solutions by co-designing chips and packages.

Samsung’s strategy involves expanding its application areas and consumer base. The AI sales of Samsung Foundry have increased by 80% in the past year due to close collaborations with customers.

The companies have recently expanded their partnership to include AI-enabled PCs, and its high-end smartphones utilize Qualcomm’s Snapdragon mobile processors.

Samsung’s most recent announcements at SFF 2024 underscore its dedication to regaining its competitive advantage in the semiconductor market and becoming a dominant force in AI chip technology by providing a hardware and software approach for AI applications.

James Emmanuel

James is a Computer Science student with a robust foundation in tech and a skilled DevOps engineer. His technical expertise extends to his role as a news reporter at Protechbro, where he specializes in crafting well-informed, technical content that highlights the latest trends and innovations in technology.

Share
Published by
James Emmanuel

Recent Posts

Google Tests Facial Recognition for Campus Security Near Seattle

Google is testing facial-tracking technology for campus security at its Kirkland, Washington office According to…

3 hours ago

Blast Network Airdrop Now Available to Phase 1 Participants

The Blast Network Airdrop is now available as the Ethereum (ETH)-based layer two (L2) network…

4 hours ago

Google Improves Chrome Mobile Search Experience

In response to rising competition from startups like Arc, Google revealed on Wednesday the addition…

4 hours ago

Sonia’s AI Chatbot Replaces Therapists

Find out whether chatbots like Sonia AI can replace human therapists as some startups and…

5 hours ago

Emergence Believes It Can Solve AI Agent Puzzle

Emergence aims to revolutionize AI with groundbreaking strategies to crack the AI agent code A…

6 hours ago

OpenAI Acquires Remote Collaboration Platform

OpenAI expands its capabilities by acquiring a leading remote collaboration platform, enhancing its tools for…

6 hours ago